thebell

인더스트리

[엔비디아 밸류체인 파트너]'고압수소어닐링' HPSP, 독점적 지위 기반 '고공행진'①2017년 '풍산그룹→크레센도'로 주인 변화, 반도체 공정 미세화 '필수 장비'

김경태 기자공개 2024-03-22 08:07:39

[편집자주]

글로벌 시장에 생성형AI 바람이 거세다. 기류를 제대로 탄 곳은 다름 아닌 엔비디아. 주가가 가파르게 상승하면서 제프 베조스의 아마존, 구글의 모회사인 알파벳을 제치고 시총 3위에 올랐다. 그야말로 파란이다. 국내 기업에도 영향을 줄만한 이슈다. 하지만 가려져 있는 곳이 많다. 엔비디아 협력사로 SK하이닉스 정도만 잘 알려져 있다. 눈을 넓히면 엔비디아의 사업과 연결된 국내 기업들이 다수 포진해 있다. 과연 어떤 기업들이 있을까. 엔비디아 밸류체인에서 활약하는 국내 기업들의 사업 현황과 지배구조, 성장 전망 등을 내밀히 살펴본다.

이 기사는 2024년 03월 19일 15:32 thebell 에 표출된 기사입니다.

에이치피에스피(HPSP)는 최근 반도체업계에서 가장 뜨거운 관심을 받는 곳 중 하나다. 불과 7년여전인 2017년 탄생한 곳이지만 주요 사업군이자 첨단 기술력으로 무장한 '고압수소어닐링' 장비가 각광을 받으며 단시간에 급성장했다.

시장에는 HPSP가 엔비디아의 핵심 파트너인 대만 TSMC와 긴밀한 협력 관계란 점도 익히 알려져있다. HPSP의 장비는 반도체 공정 미세화에 필수적이라는 평가를 받고 있다. 또 적용처가 갈수록 확대되면서 향후 성장에 대한 기대감도 키우고 있다.

◇새 주인 크레센도 PEF 체제서 폭풍성장

HPSP 모태는 풍산의 자회사 풍산마이크로텍(PSMC)의 장비사업팀이다. 풍산그룹에 속해 있던 당시 국내외 반도체업계에서 크게 두각을 드러내지는 못했다. 다만 꾸준한 연구개발(R&D)을 지속했고 이 시기 굴지의 반도체 기업과 일부 협업도 이뤄졌다.

2005년 미국 캘리포니아 지사를 설립했다. 2009년에는 미국 시스템반도체 고객사에 고압수소어닐링 베타 장비인 'Geni'를 최초로 납품했다. 2010년 국내 시스템반도체 고객사, 2011년 유럽 시스템반도체 고객사에 Geni를 선보였다. 2015년과 2016년에는 글로벌 시스템반도체 업체에 'GENI-SYS'를 납품했다.

하지만 풍산그룹은 HPSP의 외부 매각 결정을 내렸다. HPSP는 2017년 사모투자펀드(PEF) 운용사로 주인이 바뀌며 변곡점을 맞이했다.

크레센도에쿼티파트너스(이하 크레센도)가 새 주인이 됐다. 크레센도는 '페이팔 대부'로 불리는 피터 틸 회장의 스폰서십으로 탄생한 PEF 운용사다.

크레센도가 인수한 직후에도 HPSP의 매출 규모가 급격히 커지지는 않았다. 2018년 매출은 24억원에 불과했다. 외형이 급격하게 불어나기 시작한 건 이듬해인 2019년부터다. 이 시기 HPSP의 매출은 251억원으로 전년 대비 10배 가량 늘었다. 2020년 612억원, 2021년 918억원 매출을 기록하며 급격한 외형 성장세를 나타냈다. 2022년 1593억원, 작년에는 1791억원 매출을 올렸다.


◇'강력한 한 방' 독보적 경쟁력, 공정 미세화·AI 반도체 '수혜'

HPSP의 급격한 성장은 반도체 공정의 미세화라는 흐름에 올라탄 덕분이다. 공정 미세화가 고도화되면서 하이케이메탈게이트(HKMG·High-K Metal Gate) 공정이 활용되고 있다. HKMG는 반도체 공정의 미세화에 따른 누설 전류를 막기 위해 유전율 상수(K)가 높은 물질(High-K)을 적용한 것을 뜻한다.

HKMG 공정 적용 등 미세화 과정에는 반도체 웨이퍼 표면에 계면결함(Interface Defect)이 생긴다. 이 계면결함을 비활성화하는 열처리 과정에 활용되는 게 바로 어닐링(Annealing) 장비다.


어닐링 공정에는 배치식 열처리 방법, RTA(Rapid Thermal Annealing), 레이저 어닐링, 고압수소어닐링 등이 있다. 이 중 공정 미세화에 가장 적합하다고 평가받는 게 고압수소어닐링이다.

수소어닐링에서는 '온도'도 중요한 요소다. HPSP의 장비는 400℃ 이하의 온도 환경에서도 100% 수소농도를 유지할 수 있는 것으로 알려졌다. 고온으로 인한 웨이퍼 손상을 최소화하고 어닐링을 극대화할 수 있다고 평가된다.

HPSP의 고압수소어닐링 장비는 사실상 독점이다. 시장의 큰 흐름에 반드시 필요해진 장비인데 독보적인 경쟁력을 보유하고 있으니 HPSP의 빠른 속도 성장이 가능했던 셈이다.

생성형AI로 인한 반도체 시장의 변화도 HPSP에 긍정적으로 작용할 공산이 커지고 있다. 글로벌 최상위 반도체 제조사들은 AI용 차세대 반도체에 2㎚(나노미터)급 공정을 도입 중이다. 공정 수율 개선을 위해서는 HPSP의 고압수소어닐링 장비가 필수적으로 들어갈 것이라는 분석이 나온다.

고압수소어닐링 장비의 적용이 기존의 비메모리에서 메모리로 확대될 것이란 의미다. 낸드에서 D램 순으로 고압수소어닐링 장비가 점진적으로 활용될 것으로 분석된다.

반도체업계에 따르면 HPSP는 최근 복수의 글로벌 D램 메모리 고객사와 실시한 사전평가 기판 실험을 성공적으로 완료한 것으로 알려졌다. 해당 고객사와 글로벌 생산 공장에 적용하기 위한 협의를 한 것으로 전해진다.
< 저작권자 ⓒ 자본시장 미디어 'thebell', 무단 전재, 재배포 및 AI학습 이용 금지 >

더벨 서비스 문의

02-724-4102

유료 서비스 안내
주)더벨 주소서울시 종로구 청계천로 41 영풍빌딩 5층, 6층대표/발행인성화용 편집인이진우 등록번호서울아00483
등록년월일2007.12.27 / 제호 : 더벨(thebell) 발행년월일2007.12.30청소년보호관리책임자김용관
문의TEL : 02-724-4100 / FAX : 02-724-4109서비스 문의 및 PC 초기화TEL : 02-724-4102기술 및 장애문의TEL : 02-724-4159

더벨의 모든 기사(콘텐트)는 저작권법의 보호를 받으며, 무단 전재 및 복사와 배포 등을 금지합니다.

copyright ⓒ thebell all rights reserved.